CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - mips CPU

搜索资源列表

  1. x86_Dhystone_2.1

    0下载:
  2. 可在x86上運行及量測cpu效能mips的軟體-It could work on X86 plateform, and measure porformance
  3. 所属分类:Driver develop

    • 发布日期:2017-12-04
    • 文件大小:44306
    • 提供者:Billy
  1. jizu

    0下载:
  2. 计算机组成,mips汇编教程,以及cpu的原理和实现,精品教程-Computer, mips assembly tutorial, as well as the principle and the realization of the cpu, excellent tutorial
  3. 所属分类:assembly language

    • 发布日期:2017-11-17
    • 文件大小:11251231
    • 提供者:jianye
  1. PipelineCPU

    1下载:
  2. 这是我们设计的一个MIPS流水线CPU,基于Verilog HDL语言实现。它与传统的MIPS流水线CPU不同点在于,5个流水段各自维护一个变量(SelType)表明当前正在执行的指令类型,这样处理数据冒险、loaduse冒险或者跳转冒险时候每个段都能知道其他段正在处理的语句,从而方便我们的处理。-This is a MIPS pipelined CPU based on Verilog HDL language to achieve. It the the MIPS pipelined CPU
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2016-10-08
    • 文件大小:11357184
    • 提供者:武翔宇
  1. MIPSCPU

    0下载:
  2. 用verilog描述一个mips体系结构的cpu,分别用c语言mips汇编语言写了一段程序,翻译成机器码可以再cpu上运行。仿真结果三者完全一致。-Mips architecture cpu with verilog descr iption c language mips assembly language to write a program, translated into machine code can then cpu running on. Simulation results e
  3. 所属分类:assembly language

    • 发布日期:2017-11-08
    • 文件大小:11388446
    • 提供者:王博
  1. Vxworks

    0下载:
  2. VxWorks是一种嵌入式的实时操作系统,所谓嵌入式系统就是用户自己开发设计板子,板子上通常有一颗CPU,VxWorks支持32位的CPU,包括Intel公司的x86、Motorola公司的68k和PowerPC、MIPS、ARM、Intel公司的i960、Hitachi公司的SH。我们设计的这块板子通常没有软件的自开发能力,所以我们需要一台通用机来辅助开发,这台通用机可以是PC或工作站,我们称辅助我们软件开发的通用机为宿主机(Host),用户自己开发的板子为目标机(Target)。宿主机上要有
  3. 所属分类:VxWorks

    • 发布日期:2017-11-13
    • 文件大小:210494
    • 提供者:杨喆
  1. uboot1.1.6

    1下载:
  2. uboot 1.1.6源代码,支持ARM,MIPS,PowerPC等各种嵌入式CPU-uboot1.1.6 source code for ARM,MIPS,PowerPC and so on.
  3. 所属分类:Embeded Linux

    • 发布日期:2017-05-30
    • 文件大小:13178606
    • 提供者:ivan
  1. piplelinecpu

    0下载:
  2. 流水线CPU,实现MIPS简单指令的运行,在XLINX实验板上运行-Pipelined CPU, MIPS simple instructions to achieve the operation, run in XLINX experimental board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5739002
    • 提供者:谢志鹏
  1. PipelineCPU

    0下载:
  2. 设计一个32位流水线MIPS微处理器,具体要求如下: 1. 至少运行下列MIPS32指令。 ①算术运算指令:ADD、ADDU、SUB、SUBU、ADDI、ADDIU。 ②逻辑运算指令:AND、OR、NOR、XOR、ANDI、ORI、XORI、SLT、SLTU、SLTI、SLTIU。 ③移位指令:SLL、SLLV、SRL、SRLV、SRA。 ④条件分支指令:BEQ、BNE、BGEZ、BGTZ、BLEZ、BLTZ。 ⑤无条件跳转指令:J、JR。 ⑥数据传送指令:LW、SW
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:11827
    • 提供者:Peter
  1. CPUdesign

    0下载:
  2. 32位MIPS五级流水CPU,实现了基本指令功能,结构完整,讲解清晰。-32bitCUP design
  3. 所属分类:Other systems

    • 发布日期:2017-05-14
    • 文件大小:3567438
    • 提供者:杨硕
  1. muCPU_final

    0下载:
  2. 用Verilog开发的多周期CPU,可执行mips汇编中的R\I\J型指令,具有较高的参考价值。-Using Verilog development of multi-cycle CPU, mips executable compilation of R \ I \ J-type instruction, with a high reference value.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2059928
    • 提供者:孔晗聪
  1. ca01

    0下载:
  2. The Computer Architecture Lecture Notes. It includes general information about CPU and MIPS. Also, It mentions about RISC and CISC microprocessor.
  3. 所属分类:software engineering

    • 发布日期:2017-04-09
    • 文件大小:683116
    • 提供者:niziplimali
  1. LFYY_cpu

    0下载:
  2. 建议CPU五级流水,带有指令寄存器cache,处理数据冒险-code for cpu mips with cache
  3. 所属分类:Other systems

    • 发布日期:2017-04-24
    • 文件大小:11973
    • 提供者:liguangye
  1. u-boot-2010.03-tekkaman-master

    0下载:
  2. u-boot是德国DENX小组开发的用于多种嵌入式CPU( MIPS、x86、ARM、PowerPC、XScale等)的bootloader程序, UBoot不仅支持嵌入式Linux系统的引导,还支持VxWorks, QNX等多种嵌入式操作系统。u-boot是GPL下资源代码最完整的一个通用BootLoader支持大量开发板:214个开发板(u-boot1.1.6),有大量的外设驱动。-U-boot is a German DENX group for the development of a
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-06-12
    • 文件大小:19340496
    • 提供者:hezy
  1. MIPSCPU

    3下载:
  2. 这是verilog实现的MIPS多周期CPU在modelsim下面仿真通过-This is achieved verilog CPU MIPS multi-cycle simulation in modelsim below by
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-01
    • 文件大小:169841
    • 提供者:zhql945
  1. fpga_pc_software

    0下载:
  2. 计算机组成原理课程实验使用软件,Thinpad教学机教学实验软件 实现mips代码到机器代码之间的转换 实现本机和FPGA板的通信,将机器代码送入 可在本机编写代码送入fpga板的sram中,fpga板的cpu会运行-Computer architecture course experiment using software, Thinpad teaching machine teaching experiment software mips code into machine co
  3. 所属分类:Other systems

    • 发布日期:2017-05-23
    • 文件大小:7127894
    • 提供者:wala
  1. multi_cpu

    0下载:
  2. 用verilog语言编写的简单多周期CPU代码,在Sparten3板上可运行。实现了加、减、与、或、非等MIPS指令。-Verilog language with a simple multi-cycle CPU code can be run in Sparten3 board. Realization of add, subtract, and, or, not, etc. MIPS instruction.
  3. 所属分类:Other systems

    • 发布日期:2017-05-09
    • 文件大小:1635747
    • 提供者:chenjy
  1. Our_MIPS_CPU

    0下载:
  2. 基于MIPS架构的CPU设计,含有完整程序代码,及各模块实现及仿真程序!-CPU design based on MIPS architecture, contains a complete code, and the realization of each module and the simulation program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1655136
    • 提供者:张天
  1. code

    1下载:
  2. Mips单周期CPU设计(支持7条指令addu、subu、ori、lw、sw、beq、lui)-Mips single-cycle CPU design
  3. 所属分类:OS Develop

    • 发布日期:2017-04-02
    • 文件大小:164568
    • 提供者:杨佳伟
  1. highbank-cpufreq

    0下载:
  2. SMP assumption: Options of CPU 0 are a superset of all processors. This is true for all known MIPS systems.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-14
    • 文件大小:3601
    • 提供者:vzdangyao
  1. s_4bri

    0下载:
  2. check for trapped MIPS 46xx CPU, dump exception frame.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-14
    • 文件大小:4156
    • 提供者:nyxingpong
« 1 2 ... 4 5 6 7 8 910 »
搜珍网 www.dssz.com